16QAM调制解调系统的FPGA实现(共48页).doc

上传人:飞****2 文档编号:14152544 上传时间:2022-05-03 格式:DOC 页数:48 大小:2.35MB
返回 下载 相关 举报
16QAM调制解调系统的FPGA实现(共48页).doc_第1页
第1页 / 共48页
16QAM调制解调系统的FPGA实现(共48页).doc_第2页
第2页 / 共48页
点击查看更多>>
资源描述

《16QAM调制解调系统的FPGA实现(共48页).doc》由会员分享,可在线阅读,更多相关《16QAM调制解调系统的FPGA实现(共48页).doc(48页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、精选优质文档-倾情为你奉上CENTRAL SOUTH UNIVERSITY本科生毕业论文(设计)题 目 QAM通信系统接收端混频与 解调器的设计及其FPGA实现 学生姓名 尹海明 指导教师 盛利元教授 学 院 物理科学与技术学院 专业班级 电子信息科学与技术0805班 完成时间 2012年6月7日 专心-专注-专业摘要QAM(Quadrature Amplitude Modulation)是一种新的调制技术,它在调制过程中利用了相位和幅度两维空间资源,比只利用单一维度空间资源的PSK和ASK调制方式频谱利用率高,不仅如此,QAM的星座点比PSK的星座点更分散,星座点之间的距离因此更大,所以能提

2、供更好的传输性能。随着第三代移动通信的兴起,传输容量增大,多进制正交幅度调制MQAM (Multiple Quadrature Amplitude Modulation)将得到更加广泛的运用。本文主要研究了基于FPGA的16QAM调制与解调的实现。首先说明了QAM调制和解调的原理,用SystemView软件建立16QAM调制解调系统模型,然后对各系统组成模块分析与仿真之后提出基于FPGA的16QAM调制与解调的总体设计方案。最后用Verilog语言编写程序完成了整个系统的仿真,并对编好的程序其进行了编译调试。文中详细介绍了载波恢复、正交相干解调、FIR低通滤波器和采样判决的基本原理和设计方法。

3、首先用SystemView对16QAM调制解调总体进行了系统仿真;然后用Verilog语言在Quartus II软件平台下完成了系统各功能模块的编写、功能与时序仿真和综合,最后把各模块组成的顶层原理图编译成的程序下载到EP2C35F672C6N芯片上,手动输入基带信号,经过芯片处理后,基带信号得以有效恢复。关键词:正交相干解调,混频,FPGA,QAM,SystemView ABSTRACTQAM(Quadrature Amplitude Modulation) is a new modulation technique, because of the use of phase and ampl

4、itude two-dimensional space resources in the modulation process, it has a higher efficiency than PSK and ASK modulation witch use only a single dimension of space resources. Moreover, the QAM constellation points of PSK constellation points more dispersed, the distance between the constellation poin

5、ts is therefore, so it is able to provide better transmission performance. With the rise of third generation mobile communication and the transmission capacity increases, M-ary quadrature amplitude modulation of MQAM (Multiple quadrature the Amplitude Modulation) will be more widely used. This paper

6、 studies the realization of FPGA-based 16QAM modulation and demodulation. Firstly, the principle of QAM modulation is presented, and the modeling of the 16QAM modulation&demodulation system is built with the SystemView software. Then, by way of analyzing system composition modules and partial simula

7、tion, the design of the l6QAM modulation system based on FPGA is put forwards. Finally the whole system simulation is realized with Verilog, And programmed to compile debug. In this paper, the principle and design method of carrier recovery, quadrature coherent demodulator, FIR low pass filter and s

8、ampling and decision are detailedly introduced. Firstly, The system of 16QAM is simulated with SystemView. Then, each functional module is implemented with Verilog HDL on the Quartus II sofiware flat, and the function&timing simulation and the synthesis are finished. Finally, the program compiled fr

9、om the top schematic diagram is downloaded to the EP2C35F672C6N chip and when manually entered the baseband signal, the signal can be effectively recovered.Keywords: Quadrature coherent demodulation, Mixer, FPGA, QAM, SystemView目录 第一章 绪论1.1 课题研究背景及意义随着通信业迅速的发展,移动用户数量的不断增加,传统通信系统容量已日益难以满足现代通信的需求,同时,因

10、为频带有限,系统容量问题无法通过无限增加频带数的办法来解决。此外,移动通信单一的语音服务并不能满足人们的需要,越来越多的人希望能利用移动通信设备传输图像、视频等多媒体信息内容。根据IMT2000国际标准的定义,未来的个人通信业务将会是多种不同业务的综合,它将在传统语音服务基础上增加图像及视频等高速多媒体业务。若采用一般调制技术,由于传输数据量大,数据传输速率很快,而频带利用率低,数据带宽将会急剧增加,从而频率资源利用紧张的状况将会进一步加剧。因此,怎样提高频带利用率,在有限的频谱资源中提供大容量、高速率的多媒体综合业务,是移动通信领域中一个令人关注的课题。而确定一种高频谱利用率的调制方案能在很

11、大程度上解决上述问题。正交幅度调制QAM是一种振幅与相位相结合的高阶调制方式,具有较高的频带利用率和较好的功率利用率,现如今已在中、大容量数字微波通信系统、卫星通信等领域中得到广泛运用。随着第三代移动通信系统的日趋成熟,频谱利用率较高的QPSK及QAM调制解调方式都会得到更多的采用。尤其是多进制QAM(MQAM),由于其频带利用率高,在通信业务日益增多使得频带利用率成为主要矛盾的情况下,正交幅度调制方式是一种比较好的选择1。除了要解决提高语音服务质量问题,第三代移动通信系统更要解决如何在有限频带资源中提供多媒体综合业务的问题。所以,在选择调制方案时,第三代移动通信系统考虑的就不能只是抗干扰性能

12、,频带利用率与灵活性应该予以更多考虑。低容量、低速率的语音服务能被传统的调制方案所适用,但高容量、高速率的多媒体业务却难以满足。而正交振幅调制QAM具有高频谱利用率,能根据信号传输环境与信号源的不同自适应地调整调制速率等优点,因此可以有效缓解可用频带紧张的情况及实现多速率的多媒体综合业务传输。近年来微蜂窝( microcell)与微微蜂窝(picrocell)等网络系统出现,通信小区的半径越来越小,通信距离变短等原因使得高密度话务量成为可能。在微蜂网中,信道的衰落状况由于传输路径短,收发信机之间障碍较少等原因得到了很好的改善。接收端信号中直达分量增强,多径衰落特性由Rayleigh衰落变为Ri

13、ce衰落,对振幅的影响就相应减小了。于是,原来被认为不适合传统移动信道的QAM也可以在Rice信道内使用。传输网络的带宽矛盾因为QAM调制而得到有效缓和2。传统数字调制方式下,通常单码元携带l bit的信息,但QAM调制信号的幅度和相位均携带信息,随着MQAM中M的增大,调制信号所携带的信息量也相应增加,例如16QAM中一个码元携带4bit的信息,64QAM中一个码元携带6bit的信息,由此可知,MQAM中一个码元携带N bit()的信息,这极大地提高了信道频谱利用率。因此,在通信传输领域,QAM调制方式得到了广泛的应用。而且,QAM得到了广泛应用还有一个重要原因,那即是QAM码间距比MASK

14、,MPSK的要大,所以在提高频带利用率基础上,误码率更小。1.2 QAM技术发展概要及应用现状QAM并不是一种新的调制方式,QAM在有线通信系统中的应用早在10多年前就已经开始了,但直到最近几年,它在无线信道中的应用才开始兴起,在数字电视这样的宽带通信系统中QAM应用较多,但在无线窄带通信系统中的应用还非常少。在国际上,摩托罗拉公司最早将QAM调制应用于光线窄带通信系统,摩托罗拉公司的数字集群系统iDEN实现了在25KHz带宽的信道中的16QAM调制解调,但它依附在系统上,没有形成独立产品,而且考虑到商业利益的因素,摩托罗拉公司并未公开此系统调制解调部分的技术细节2。如今市面上应用于无线信道的

15、QAM调制解调芯片几乎全是应用于有线宽带通信系统上的,至今还很少有应用于无线窄带通信系统的QAM调制解调芯片面市。研究QAM调制的文章很多,可多数是讨论如何应用于数字电视系统的文章,而且这些文章研究的重点集中在解调中的载波提取部分,对QAM调制解调的整个系统进行完整的论述的文章很少。在实现方法及过程中,多数文章内容以软件仿真为主,而且大多文章只实现系统的某个模块,介绍用FPGA硬件实现的文章更是寥寥无几。而如今,无线宽带数字通信的“软件无线电”设计方案越来越受到工程师们的青睐。所谓软件无线电,即在一个开放的、标准化的、模块化的通用硬件平台上,通信功能由软件完成。由于代码具有灵活性,开放性的特点

16、,软件无线电系统的实现也具有高度的灵活性,开放性3。调制解调器作为软件无线电技术研究的核心内容之一,如何实现调制解调器的软件化是实现软件无线电通用性、开放性的关键环节。多数传统的数字调制解调是利用专门的调制解调芯片实现的,固化的硬件极大限制了设计的灵活性。本文在FPGA这一软件无线电平台上采用verilog语言的方式实现16QAM调制解调,灵活性好。1.3 本文内容和结构本文主要讨论了16QAM调制解调器中载波恢复、正交相干解调、基带成形滤波器和采样判决的基本原理及其设计方法,信号带宽为300KHz,要求在一片FPGA芯片EP2C35F672C6N上实现,工作量较大,具有一定难度。用Syste

17、mView软件搭建了16QAM调制解调系统,是系统算法的快速实验平台,对整个系统有着举足轻重的作用。分别采用Quartus II自带FIR Compiler IP核和LPM Mult IP核实现基带成形低通滤波器和乘法器,大大减少了整个工作量。载波恢复采用DDS实现,既简单又快速。采样判决采用门限设计来实现。本文章节结构安排如下:第一章简单介绍了课题背景、意义、QAM技术发展概要及应用现状和论文内容与结构。第二章分析了16QAM调制解调的原理与性能,以及16QAM发送端和接收端的SystemView软件仿真。第三章详细说明了接收端各个分模块的结构模型,FPGA实现结构图,及模块内信号流程图。第

18、四章给出了各个分模块在Quartus II软件平台上的仿真结果和调制、解调系统整体调试。第五章总结了本文的主要内容和创新点,指明了下一步的研究方向。第二章 发射及接收端概述及整体设计引言:正交幅度调制QAM是一种振幅和相位的联合键控。在多进制联合键控体制中,相位键控的带宽和功率占用方面都具有优势,即带宽占用小和比特信噪比要求低。因此MPSK和MDPSK体制为人们所喜用。但是,在MPSK体制中,随着M的增大,相邻相位的距离逐渐减小,使噪声容限随之减小,误码率难于保证。为了改善在M大时的噪声容限,发展出了QAM体制。在QAM体制中,信号的振幅和相位作为两个独立的参量同时受到调制3。本章介绍了QAM

19、调制解调的原理,对原理及性能进行了数学分析,揭示了QAM体制的优势所在,并用SystemView软件对整个系统进行行为级的仿真,为之后的FPGA实现提供了理论依据和可行性验证。2.1 QAM调制解调的原理与性能2.1.1 QAM调制原理MQAM调制信号可以表示为: (2-1)式中和是电平值,这些电平值是通过将2比特序列映射为二进制4电平振幅而获得的,为信号脉冲,是正交载波频率,M为进制数,16QAM调制中M为16。在调制过程中,作为调制信号的输入四路数据两两结合,分别进入两个电平转换器,转换成两路4电平数据,两路4电平数据和分别被载波和调制,然后相减,即可得到16QAM信号。例如一个16位正交

20、幅度调制信号的星座图如图2.1.1所示,该星座图是通过用MQAM中M4PAM的信号对每个正交载波进行振幅调制再将两路幅值映射到x,y轴得到的,星座点数为。图2.1.1 M=16的QAM信号星座图同时MQAM调制信号还可以这样表示: (2-2)上式中,由此可以看出,QAM调制信号可以看成是幅度和相位的联合调制。如果,那么QAM方法就可以达到以符号速率同时发送个二进制数据。对于16QAM,系统能同时发送4个串行二进制数据。图2.1.2给出了QAM调制器的框图。平衡调制器相位变换平衡调制器发送滤波器本地振荡发送滤波器串/并转换二进制数据QAM信号图2.1.2 QAM调制器框图将(2-1)式变形,令

21、(2-3)其中 (2-4)脉冲信号的能量为,由此可得任意两个信号间的欧氏距离是: (2-5)当信号幅值取时,两点间欧氏距离最小,为:。2.1.2 QAM的解调方法和原理解调实质上是调制的逆过程,在理想情况下,MQAM信号的频带利用率为,目前,对QAM信号的解调方法很多,其主要方法有以下三种:模拟相干解调、数字相干解调、全数字解调4。本文采用数字相干解调法对QAM进行解调,原理如图2.1.3所示:串并转换多电平转换LPF采样判决载波恢复LPF多电平转换采样判决QAM信号图2.1.3 QAM解调器框图在接收端接收到的调制信号分别和两路相互正交的载波信号相乘,化简之后相同信号的表达式为: (2-6)

22、正交信号表达式为: (2-7)其中,经过解调得到同相与正交两路相互独立的多电平基带信号,然后把多电平基带信号经过低通滤波器滤去高频载波之后得到直流分量为和,再进行采样判决、L-2值电平转换和并/串转换还原出基带信号。此处时为16QAM相干解调。2.1.3 QAM的误码率性能矩形QAM信号星座最突出的优点就是容易产生PAM信号可直接加到两个正交载波相位上,此外它们还便于解调。对于M下的矩形信号星座图(k为偶数),QAM信号星座图与正交载波上的两个PAM信号是等价的5,这两个信号中的每一个上都有个信号点。因为相位正交分量上的信号能被相干判决极好的分离,所以易于通过PAM的误码率确定QAM的误码率。

23、M进制QAM系统正确判决的概率是 (2-8)式中是进制PAM系统的误码率,该PAM系统具有等价QAM系统的每一个正交信号中的一半平均功率。通过适当调整M进制PAM系统的误码率,可得 (2-9)其中是每个符号的平均信噪比。,是符号平均能量,因此M进制QAM的误码率为: (2-10)由此可得16QAM的误码率为: (2-11)2.2 发送端和接收端的SystemView软件仿真SystemView是美国ELANIX公司推出的,基于Windows环境下运行的用于系统仿真分析的可视化软件工具6,它使用功能模块(Token)去描述程序,不需要接触复杂的程序语言,因而在进行各种系统的设计与仿真过程中不用写

24、一句代码,就可以快速地建立和修改系统、访问与调整参数,方便地加入注释。使用SystemView可以搭建各种复杂的模拟、数字、模数混合系统,各种多速率系统,因而,它能用于各种控制及通信系统的设计和仿真。在进行系统设计时,用户只需从SystemView配置的图标库中调出有关图标并设置好参数,完成图标间的连线,然后运行仿真操作,软件最终都能以时域波形、眼图、功率谱等形式给出系统的仿真分析结果。SystemView的库资源十分丰富,包括含若干图标的基本库(Main Library)及专业库(Optional Library),基本库中包括多种信号源、接收器、加法器、乘法器,各种函数运算器等;专业库有通

25、讯(Communication)、逻辑(Logic)、数字信号处理(DSP)、射频/模拟(RF/Analog)等;它们特别适合现代通信系统的设计、仿真和方案论证,尤其适合无线电话、无绳电话、寻呼机、调制解调器、卫星通讯等通信系统;并能进行各种系统时域和频域分析、谱分析,及对各种逻辑电路、射频模拟电路(混合器、放大器、RLC电路、运放电路等)进行理论分析和失真分析。16QAM调制解调整体设计如图2.2.1。参照图2.1.2和图2.1.3的调制解调原理图,在SystemView软件中拖出各模块进行设置,然后连线得到图2.2.1。图2.2.1 SystemView调制解调整体设计图图2.2.1中各模

26、块对应名称及设置见表 2-1。表2-1 系统图符与设置表 图符编号库/图符名称具体参数1、2、8、9Multiplier(乘法器)/3、4Operator: linear Sys(滤波器)Blackman Lowpass LPF, Gain=0, Fc=9Hz5、6Sink: Analysis(示波器)/7Adder(加法器)/10、11Source: PN Seq(电平产生)Amp=1v,offset=0v,Rate=8Hz,Levels=4,Phase=00、12Source: Sinusoid(正弦信号)Amp=1v, Freq=30Hz, Phase=0运行系统仿真可以得到各分析(An

27、alysis)模块显示的I、Q两路信号的波形图2.2.2至2.2.5。图2.2.2 I、Q两路输入四电平信号由图可见,示波器6显示的是功能模块10和11产生的电平信号,为-1、-0.3、0.3、1四中电平值。图2.2.3 I、Q两路经调制后的信号上图显示的是四电平值通过和正弦波产生器2模块产生的高频载波相乘后的结果。可见相位和振幅均得到利用,验证了式(2-1)和式(2-2)的原理。图2.2.4 I、Q两路经混频后的信号从上图可以看出单信道里的和信号与同相和正交的两个提取出来的载波混频后被还原成具有四电平特性的I、Q两路信号,验证了式(2-6)和式(2-7)的原理。图2.2.5 I、Q两路经FI

28、R低通滤波后的信号由上图可见低通滤波器输出的电平值已经比较接近输入电平值了,再经过采样判决和电平转换之后就可将电平值所携带的二进制基带信息还原出来。下面我们将I路和Q路的输出信号幅值(还原出来的和)映射到X和Y轴上,绘制成16QAM的星座图(图2.2.6)和(图2.2.7)。图2.2.6 16QAM的星座图(连线)图2.2.7 16QAM的星座图(点)此图中各数据点坐标代表着同一采样时间点上I、Q两路幅值的大小。两信号间的某时刻的距离为图中对应点到坐标原点的欧氏距离。由于SystemView软件便于进行系统整体仿真及查看各部分波形,因此对接下来的FPGA实现有着相当重要的参照意义。小结:本章通

29、过数学推导,给出了QAM调制解调的实现原理,并通过软件仿真,为FPGA编程及硬件实现提供了可行性分析验证,下文设计中,各模块按照本章中的原理一步一步进行编写和仿真,最后各自的功能得以成功实现。第三章 混频及解调器分模块设计引言:本章将混频解调器分成各个模块进行设计,按照从原理到具体实现的方法介绍了各模块的具体设计思路,设计过程中从原理出发,考虑到FPGA实现的各细节,在原理上解决了FPGA实现过程中的种种问题。3.1 混频模块设计所谓相干解调 (Coherent Demodulation),就是指相互干扰。即利用乘法器,使输入一路与载频相干(同频同相)的参考信号与载频相乘(混频)。如原始信号

30、与载频 调制后得到信号 ;解调时用载波恢复模块恢复出相干(同频同相)的参考信号 与接收到的调制信号相混频,则得到:,利用倍角公式可以得到:, 利用低通滤波器将高频信号滤除,即得原始信号。因此相干解调需要接收机和载波同步;而非相干解调(如差分解调)则不使用乘法器,不需要进行混频。因此,数字相干解调混频器的核心是由载波恢复模块和乘法器模块组成的。3.1.1 DDS载波恢复模块设计载波恢复又称载波同步(carrier restoration),即在接收设备中产生一个和接收信号的载波同频同相的本地振荡(local oscillation),供给解调器作相干解调用。当接收信号中包含离散的载频分量时,在接

31、收端需要从信号中分离出信号载波作为本地相干载波;这样分离出的本地相干载波频率必然与接收信号载波频率相同,但为了使相位也相同,可能需要对分离出的载波相位作适当的调整。QAM信号可表示为: (3-1)用两个正交载波和来解调,和相乘再经过低通滤波,得到同相分量: (3-2)同样的,和相乘再经过低通滤波,得到正交分量: (3-3)由表达式(3-2)和表达式(3-3)可以看出,在QAM的信号解调过程中,相位误差带来的影响十分严重,会导致正交分量和同相分量之间的相互干扰。因此,在这些接收设备中需要有载波同步电路,以提供相干解调所需要的相干载波;相干载波必须与接收信号的载波严格地同频同相。 载波恢复模块的设

32、计有两种选择,一种是直接提取法,即发送端不专门向接收端传输载波信息,接收端直接从收到的已调信号中提取载波信息。直接提取法适用于抑制载波的双边带调幅系统、残留边带调幅系统和二相多相调相系统。另一种是插入导频法,即发送端在发送信息的同时还发送载波或与其有关的导频信号7。由于直接提取法的实现比较复杂,导频插入法由于工作稳定性好,不存在相位误差问题,本文采用的是导频插入法实现的载波恢复。载波由发射端的DDS振荡直接提供。直接数字式频率合成器DDS(Direct Digital Synthesizer)技术是一项关键的数字化技术。一般来说,DDS的设计主要由相位累加器、频率控制寄存器和正弦计算器三个主要

33、部分8。相位累加器根据频率控制码在每个时钟周期内进行相位相加,得到一个相位值;频率控制寄存器采用串行或并行的方式装载并寄存用户输入的频率控制码;而正弦计算器则对相位累加器产生的相位值进行计算得到二进制的正弦波幅度值(一般通过查表的方法得到)。其原理如图 3.1.1所示。DDS输出的是二进制的正弦波,因此输出还要经过D/A转换器才能转换成一个可用的模拟信号。本文没有牵涉到D/A转换的控制模块,因此输出波形只能通过仿真波形查看。图3.1.1 DDS原理图为频率控制字,因为,所以可以根据的值来调节频率。增大输出频率增大,只要增大,输出频率减小。相位累加器由加法器和寄存器组成,当来了一个时钟脉冲,那么

34、加法器就可以把和输出的累加相位数据相加,再送到数据的输入端。这里相当于一个周期性动作,只要相位加满,就会产生一次溢出。为相位控制字,当相位控制字改变时,这样就能使最后输出的信号产生相移了。为波形控制字,波形存储器中的波形是分块存储的,当改变时,这样波形存储器的输入为改变相位后的地址和波形控制字,这样输出的信号就改变了。为参考信号频率,此处以FPGA开发板50MHz系统频率为参考,为相位累加器的位宽,为ROM数据位及D/A转换器的位宽,波形存储ROM中有位数据位,就会有相应的样值的幅值在相应的ROM中,这样只要根据,就可以输出相应的相位的的正弦信号的幅值了。相位累加器在的控制下以作累加,输出位二

35、进制码和相位控制字、波形控制字相加后作为波形存储ROM的地址,波形存储ROM输出位的幅度码经过D/A转换(把合成的正弦波数字量转换成模拟量)再经过滤波形成阶梯波,这个波形的形状跟ROM中存放的幅度码有关。所以可以根据这点产生任意的波形。3.1.2 乘法器模块设计传统二进制乘法器的设计一般采用串行的设计结构和流水线设计结构,对于一个计算两个N位二进制数、乘积的串行乘法器,其设计思路为: (3-4)输入量随位置的连续变化对进行累加,实现二进制乘法。对流水线乘法器而言,通常采用逐位并行的迭代阵列结构将每个输入数据的N位都并行地提交给乘法器。但对于FPGA来讲,一般来说进位的速度快于加法的速度,因此这

36、种并行阵列结构并不是最优的。如果采用多级流水线的形式,将相邻的两个部分乘积结果再加到最终的输出乘积上,即排成一个二叉树形式的结构,对于N位乘法器,就只需要级来实现。一个8位乘法器结构图如图3.1.2所示。图3.1.2 流水线乘法器结构而在实际实现过程中,verilog语言编程实现乘法器模块不仅工作量大,而且在处理高速数据时往往效果不够好。而使用Altera Quartus II 的IP核中的乘法器模块就能达到事半功倍的效果。本文中乘法器设计采用的是Quartus II软件自带参数化模块库里的LPM_MULT 模块。LPM_MULT IP core可以完成有符号数及无符号数的乘法,还能完成输出数

37、据的位宽截取,支持流水线操作,支持片内DSP单元的使用,功能强大。而且乘法器IP core对其自身的速率和所占资源都有一定的优化,因此采用IP core设计对减少工作量和减少系统资源占用都有很大的帮助。3.2 QAM解调模块设计由于接收到的16QAM信号经混频之后的信号为基带信号和2倍载波频率的高频分量之和,因此混频后的信号需经过低通滤波,采样判决和电平转换把基带信号还原出来。因此解调模块分为三个子模块:低通滤波器、采样判决器和电平转换器。3.2.1 低通滤波器模块设计由于系统只要求将高频分量滤除,这里采用相对简单而易实现的FIR低通滤波器。FIR滤波器由有限个采样值组成,在每个采样时刻完成有

38、限个卷积运算,可以将其幅度特性设计成多种多样,同时还可以保证精确、严格的相位特性。在高阶滤波器中,还可以通过FFT来计算卷积,从而极大提高运算效率。这些优点使得FIR滤波器得到广泛应用9。FIR滤波器只存在个抽头,也被称为滤波器的阶数,则滤波器的输出可以通过卷积的形式表示为: (3-5)FIR滤波器实现的基本方法是用一个有限级数的傅里叶变换去逼近所要求的滤波器响应,基本设计方法可以分为窗口法和频率采样法两种。本次设计选用窗口法设计FIR滤波器,其设计步骤如下:确定数字滤波器的性能要求:截止频率,滤波器单位脉冲响应长度。根据性能要求,合理选择单位脉冲响应的奇偶对称性,从而确定理想频率响应的幅频特

39、性和相频特性。得到单位脉冲响应后,在实际计算中,可对按(远大于)点等距离采样,并对其求IDFT得,用代替。选择适当的窗函数,根据求所需设计的FIR滤波器单位脉冲响应。求,分析其幅频特性,若不满足要求,可适当改变窗函数形式或长度,重复上述设计过程,以得到满意结果。其中,选取的窗函数为布莱克曼窗: (3-6)由于整个设计中,基带信号频率不超过200Hz,载波频率5MHz,因此设置滤波器截止频率,阶数,采样频率为FPGA时钟频率50M,采用Quartus II软件的IP core进行设计。3.2.2 采样判决模块设计采样判决模块采用的是门限的思路设计,即当输入电平的二进制数值处于某门限范围之内时,将

40、此电平值判断为此门限范围内的某一特定值。由于16QAM的I、Q两路中任意一路都载有4种电平值,因此设计三个电平门限值,将8位总线数值区间分成4等份,然后将时钟信号进行分频,每间隔特定时间段就对输入信号进行采样和判决。流程图如图3.2.1。Y电平值1电平值2电平值3电平值4NNNYYN门限区间1门限区间2门限区间3采样取值图3.2.1 采样判决设计流程图3.2.3 电平转换模块设计电平转换模块实际就是一个译码模块,把二进制数字电平值转换为基带二进制信息。解调端的电平转换模块是和调制端的电平转换模块相对的,是一个相反的过程。设计时直接采用verilog 语言编写译码程序。设计图如图3.2.2。ca

41、se判断语句00101110111100011011输入输出图3.2.2 电平转换模块设计图3.3 总线位宽转换模块设计 由于FPGA片上资源有限,在进行设计的时候,要注意设计整体的资源占用优化问题,尽可能用少的资源去完成总体设计,避免资源被超出占用导致无法在现有硬件上实现。在本次设计中,调制解调器被置于同一片FPGA中实现,设计过程中多次用到乘法器、滤波器等资源占用量比较大的单元模块,因而即使FPGA内部有着比较丰富的片上资源,减少资源利用以提高性能的方法也不得不被纳入考虑范围。本次设计过程中,乘法器的乘法运算和滤波器的卷积运算都会导致数据位宽成倍的增加,而多级的乘法和卷积运算使这种增加的趋

42、势加倍,极大地浪费了片上LUT(逻辑运算)单元。因此,在不减少数据所携带信息的基础上,通过简单的除法运算,将数据电平值降低,再对无用的一些数据位(相同的符号位部分)进行截断,从而使得无论输入位宽是多少,都能在有效分辨范围内将输出数据转换为固定的位宽为8的输出。用这种方法能有效地减少资源的浪费,最后通过编译的时候,发现采用总线位宽转换模块比不采用总线位宽转换模块所消耗的LUT单元要减少60%到70%以上。第四章 混频及解调器Quartus II仿真调试4.1 FPGA概述FPGA的设计流程如图4.1所示。图 4.1 FPGA设计流程图通常,一个电子系统有多个不同的功能模块构成,但总有一个模块将所

43、有模块连接起来,完成整个电子系统的协同工作,这个模块就是顶层模块。由顶层向底层逐层展开设计,各功能模块的内部结构逐级得到深化和细化。接收端采取这种“自顶向下”(Topdown)的设计方法从系统级设计入手,在顶层进行功能方框图的划分和结构设计;在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述;在功能一级进行验证,然后用逻辑综合优化工具生成具体的门级逻辑电路的网表10。因为硬件描述语言可以比较抽象的层次上描述设计的结构和内部特征,而对系统进行行为描述的目的是在系统设计的初始阶段,通过对系统行为描述的仿真来发现系统设计中存在的问题。逻辑综合功能将高层次的系统行为设计自动翻译成门

44、级逻辑的电路描述,做到了设计与工艺的独立。软件设计工作主要采用Altera 公司的Quartus II 9.0 软件进行自顶向下的设计和Verilog 语言进行行为级描述设计,Quartus II 9.0 是美国Altera 公司自行设计的一种CAE 软件工具,方便利用EDA 方式设计ASIC 芯片,支持嵌入式系统的开发、DSP Builder、SOPC开发、Signal Tap 逻辑分析仪、LogicLock 优化技术等,是一个有力的开发工具。Verilog 是一种以文本形式来描述数字系统硬件结构和行为的语言,可以从上层到下层逐层描述设计思想,用一系列分层次的模块表示复杂的数字系统,并逐层进行仿真验证,把具体的模块组合由综合工具转换成门级网表,最后利用布局布线工具把网表转化为具体电路结构11。本次设计中接收端的数字信号处理是建立在有符号数的运算上进行的。有符号数与无符

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com