通信原理匹配滤波器课程设计报告内容(共26页).doc

上传人:飞****2 文档编号:13672555 上传时间:2022-04-30 格式:DOC 页数:26 大小:394KB
返回 下载 相关 举报
通信原理匹配滤波器课程设计报告内容(共26页).doc_第1页
第1页 / 共26页
通信原理匹配滤波器课程设计报告内容(共26页).doc_第2页
第2页 / 共26页
点击查看更多>>
资源描述

《通信原理匹配滤波器课程设计报告内容(共26页).doc》由会员分享,可在线阅读,更多相关《通信原理匹配滤波器课程设计报告内容(共26页).doc(26页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、精选优质文档-倾情为你奉上目 录专心-专注-专业第1章 绪论1.1 课题背景和意义近十几年来,随着计算机,人工智能,模式识别的信号处理等技术的飞速发展,数字通信系统得到了广泛的应用,主要是因为数字通信有以下优点:(1)数字信号便于存储、处理 、抗干扰能力强;(2)数字信号便于交换和传输; (3)可靠性高,传输过程中的差错可以设法控制; (4)数字信号易于加密且保密性强; 随着通信技术的飞速发展, 提高数字通信的可靠性是人们一直关心的现实问题,数字信号在信道的传输过程中,会受到噪声干扰,虽然人们可以通过信道编码降低传输过程中的误码率,但是噪声仍然是不可避免的,由于信道中噪声干扰而引起数字信号波形

2、失真,在接收端会产生误判。在通信系统的理论分析中,特别是在分析、计算系统抗噪声性能时,经常假定系统中信道噪声(即前述的起伏噪声)为高斯型白噪声。其原因在于,一是高斯型白噪声可用具体的数学表达式表述,便于推导分析和运算;二是高斯型白噪声确实反映了实际信道中的加性噪声情况,比较真实地代表了信道噪声的特性。因此接收系统的抗噪声能力决定了一个通信系统的优劣,国内外的专家学者一直致力于研究在随机干扰存在的情况下如何最好地接收数字信号。1.2 课程设计内容一个数字通信系统的接收设备可以视作一个判决装置,它由一个线性滤波器和一个判决电路构成,如图1.1所示,线性滤波器对信号处理,输出某个物理量提供给判决电路

3、,以便判决电路对接收信号中所包含的某个发送信号作出尽可能正确的判决,理论和实践都已证明:在高斯白噪声干扰下,如果滤波器的输出端在某一时刻上使信号的瞬时功率与白噪声平均功率之比达到最大,就可以使判决电路出现错误判决的概率最小,这样的线性滤波器的称为匹配滤波器。所以匹配滤波器是最大输出信噪比意义下的最佳线性滤波器,用匹配滤波器构成的接收机是满足最大输出信噪比准则的最佳接收机,也称匹配滤波接收机。在白噪声条件下,这样的接收机能得到最小的误码率。线性滤波器判决电路图1-1 简化的接收机结构本课程设计主要内容为通过研究加性高斯白噪声信道下用匹配滤波器构成的最佳接收机的结构及其抗噪声性能,分别用MATLA

4、B和VHDL语言实现最佳接收机的设计与实现。第2章 最佳接收机概述已知的最佳接收机结构分为多种,但最常用的是由匹配滤波器构成的最佳接收机,本章节主要介绍匹配滤波器的原理和最佳接收机的结构。2.1 最佳接收机的结构 二元数字信号的最佳接收机框图如图2-2所示。发送段在任意一个码元间隔内发送两个波形、中的一个,接收机上、下两个支路的匹配滤波器分别对这两个波形匹配,所以当发送端发送波形时,上支路匹配滤波器在取样时刻输出最大值,当发送端发送波形时下支路匹配滤波器在取样时刻输出最大值,而与接收信号不匹配的滤波器在取样时刻输出的值小于。所以判决器的任务是根据上、下两支路取样值的大小进行判决,如上支路取样值

5、打大,认为接收到的信号为;如下支路取样值大,认为接收到的信号为。的匹配滤波器 的匹配滤波器判决输出图2-1 由匹配滤波器实现的最佳接收机结构2.2 匹配滤波器传输特性设匹配滤波器的输入信号为,是由接收信号和噪声两部分构成,即,在表达式中是白噪声,双边功率谱密度为,而信号的频谱函数为。 根据线性叠加原理,匹配滤波器的输出 也由信号和噪声两部分构成,即 (2-1)设的频谱为 ,根据信号与系统理论得 (2-2)求的傅里叶反变换,可得到输出信号为 (2-3)输出噪声的功率谱密度为 (2-4) 匹配滤波器在时刻的输出信号值为 (2-5)则在时刻输出信号的瞬时功率为,输出噪声平均功率为 (2-6)所以时刻

6、输出的信噪比为 (2-7) 根据许瓦兹不等式 (2-8)可以得到 (2-9)当时等式成立,这就是所要求的匹配滤波器的传输特性,由上式可知,输出信噪比最大的滤波器的传输特性与信号频谱的共轭成正比,故这种滤波器称为匹配滤波器。2.3 匹配滤波器的结构匹配滤波器的冲激响应为 (2-10)两边取傅立叶反变换,得到 (2-11)如果输入信号是实信号,则。假设符号的传输速率,则在接收端同样地我们需要每隔时间进行一次判决,因此我们希望在每时刻的输出信噪比最大,将上述的用带入,得到匹配滤波器如下: (2-12)当接收端输入为时,在相对于的匹配滤波器端输出信号 (2-13)当时,得到 (2-14)式(2-14)

7、说明相对于匹配滤波器的输出信号在形式上与输入信号和乘积的积分相同,则匹配滤波器在取样时刻的输出值可以用乘积与积分这样的相关运算来求得,匹配滤波器的实现过程如图2-1所示。图2-2 匹配滤波器的实现过程2.4 最佳接收机的误码性能 2.4.1 最佳接收机的误码性能分析由于噪声的影响,最佳接收机在判决时也会发生错判,接收机发生错判的可能性大小用误码率来衡量,由图2-1可知,判决器的任务就是比较上,下两支路积分值的大小并作出判决。其判决规则如下:(1) 如果上支路积分值大,判为;(2) 如果下支路积分值大,判为;由于噪声的影响,判决器会发生错误判决,有两种错判情况:(1) 当发送端发送,判决器判为,

8、此误码率记为;(2) 当发送端发送,判决器判为,此误码率记为;根据全概率公式,最佳接收机的平均误码率为 (2-15)下面我们首先求发出判成的概率,令判决量V为上支路积减下支路的积分值,由图2-1和图2-2可知V的表达式为: (2-16)当V0时,判决其判为,发生错判,所以V0的概率就是发错判成的概率,V是一个随机量,想要求,就得求出V的概率密度函数。因为噪声是零均值的高斯噪声,而V仅是的积分运算,所以V是一个高斯随机变量,V的均值为,其中是信号的能量,。V的方差为。所以高斯随机变量V的概率密度函数为 (2-17)当两个信号和等概且等能量时,发错判成的概率等于错判成的概率,则由平均误码率公式可得

9、最佳接收机的误码率: (2-18)2.4.2 最佳接收机与非最佳结构的比较最佳系统与普通接收机两者之间的差别在普通接收机并没有充分利用码元时间内的信号,而只是取了其中的一个点作为判决,而最佳接收机充分利用了整个码元时间内的信号(信息)。在理想情况下(即信道是无限宽的),两者是等价的。但是在实际应用中,最佳接收机比普通接收机性能好,非最佳接收机的性能由信噪比来体现。其中,(是信号经过带通后的信噪比)。例如,2PSK普通接收系统的误码率为,而2PSK 最佳接收系统的误码率 ,其中而非最佳系统的,这里B是带通的带宽。因此,只有当带通带宽时,第六章所述的接收机才与最佳接收机性能一样。然而,实际系统中,

10、带通滤波器的带宽要求信号完全通过(即对信号不造成失真)。假设基带信号波形为矩形的话,则是基带信号频谱的第一个零点,如果带通滤波器带宽为,则信号的失真太大,达不到实际接收系统的带通要求。因此,实际系统的性能肯定要比最佳接收系统的性能差。最佳接收系统相当于是最小带通带宽的接收机,因此进入判决的噪声也小。接收系统为了让信号尽可能通过,因此在接收机前端的带通滤波器带宽适当放大,而相关接收机相当于将信号全部通过,噪声进行再次的滤波,因此性能自然得到改善。第3章 最佳接收机的MATLAB实现MATLAB 是一种用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,在数学信号处理中使

11、用 MATLAB可以更快地解决技术计算问题,因此得到了广泛的应用。本章节的内容是介绍用MATLAB实现由匹配滤波器构成的二元数字信号的最佳接收机的过程。3.1 设计思路本课程设计的目的是用MATLAB实现由匹配滤波器构成的二元数字信号的最佳接收机,接收信号为带高斯白噪声的二进制数字序列,其码型为双极性不归零码,每个码元的宽度为,再将次此序列与所要匹配的信号相乘卷积得到输出结果,由以上分析可知整个匹配滤波器系统分为三个模块:(1)数字信号输入模块:产生带加性高斯白噪声的二进制数字序列;(2)数字信号处理模块:将输入的二进制数字序列与本地的匹配信号信号相乘卷积;(3)数字信号输出模块:将处理的结果

12、和没有经过匹配处理的原输入信号分别输出,方便对比分析; 因此,匹配滤波接收机的设计流程图如图3-1所示:带加性高斯白噪声的二进制输入信号输入信号与匹配信号的相关运算处理处理结果的输出图3-1 匹配滤波接收机的设计流程图3.2 实现过程 3.2.1 数字信号输入模块的实现MATLAB中产生高斯白噪声的两个函数 在MATLAB中产生高斯白噪声非常方便,可以直接应用两个函数,一个是WGN,另一个是AWGN。WGN用于产生高斯白噪声,AWGN则用于在某一信号中加入高斯白噪声。也可以直接应用randn函数产生高斯分布序列,本课程设计中采用randn函数nt, 用语句nt=randn(1,1000);产生

13、一个1行1000列的高斯白噪声的矩阵。其波形图如图3-2所示:从图3-2可以看出,所得到的白噪声是随机的,满足课程设计对噪声的要求。 产生一个二进制数字序列,首先要设置序列的相关参数:码型,码元个数N,码元宽度Ts,每个码元内的抽样个数N_sample,用语句Ts = 1;设置码元的时间宽度,N_sample = 10;设置每个码元内的抽样个数,N = 100;设置码元个数,dt = Ts/N_sample;设置每个抽样点之间的间隔 ,gt = ones(1,N_sample);设置码型,此为不归零码型。图3-2 白噪声的仿真波形图 可以利用随机序列产生基带信号,首先定义一个1行N列的双极性随

14、机序列,再将该随机序列拓展,即在两个数据之间插入N_sample-1个0值,最后与全1矩阵相乘卷积。用da = sign(randn(1,N);产生一个随即序列,st = sigexpand(da,N_sample); 其中sigexpand函数是定义的一个拓展函数,其作用将输入d进行扩张,方法是在d的每个数据中插入M-1个零值。使st从随机序列到基带信号,st = st(1:length(st)-N_sample+1);st = conv(st,gt);将拓展后的结果与全1矩阵卷积得到了二进制数字基带信号。图3-3 输入波形仿真波形图所得到的仿真波形如图3-3所示,从图3-3中可以看出输入的

15、数字序列是双极性不归零码。由于是加性高斯白噪声,则可以直接加到二进制序列中,用语句xt=nt+st实现,得到的仿真波形如图3-4所示:从图3-4带噪声信号的仿真波形图可以看出,二进制数字序列在噪声的干扰下会产生一定程度的失真。图3-4 带噪声信号的仿真波形图3.2.2 数字信号处理模块的实现此模块的功能是将输入的二进制数字序列与匹配信号相乘卷积,这里的匹配信号的表达式为,其代码为r1t = conv(xt,h1t); 把r1t定义为匹配滤波器的冲激响应。图3-5 匹配滤波输出的仿真波形图从图3-5可以看出,匹配滤波器输出的波形在整个过程中都会发生改变,在判断一个信号时充分利用了一个码元在整个码

16、元宽度内的值,这样就可以减少噪声的干扰。为了对比分析处理信号和未处理信号,同时也需要将二进制序列进行普通的低通滤波,其代码为h2t = 5*sinc(5*(t-5)/Ts);把h2t定义为理想低通滤波器中的冲激响应。图3-6 理想低通滤波后的输出仿真波形图从图3-6可以看出,理想低通滤波后的输出波形只是在每个码元开始的时刻发生改变,这样受噪声的影响就很大,很容易发生错判。3.2.3 数字输出模块的实现二进制数字序列经过处理后,需要经过抽样判决,然后再生成码元作为输出信号,抽样判决的周期为Ts,由于输入的信号为双极性不归零码,则判决门限为0,如果抽样值大于0判为1,小于0则判为-1,其代码为re

17、1da= r1t(N_sample:N_sample:end);stem(n,re1da(1:N);还有低通滤波的输出,代码re2da= r2t(N_sample/2:N_sample:end);stem(n-5,re2da(1:N);将低通滤波器的输出结果抽样输出。3.3 仿真结果从图3-7理想低通滤波后的抽样输出仿真波形图中可以看出,在有加性高斯白噪声的干扰下,实际接收机所接收到的信号是通过理想低通滤波器的信号,与原输入信号有一定的差别,存在着误差,且误差是随机性的,说明实际接收机的抗噪声性能比较低。从图3-8匹配滤波的抽样输出仿真波形图中可以看出,匹配滤波器的输出信号虽然在一定程度上与原

18、输入信号不同,但是误码个数已经大大减少,可见匹配滤波器具有较高的抗噪声能力。图3-7 理想低通滤波后的抽样输出仿真波形图图3-8 匹配滤波的抽样输出仿真波形图3.4 仿真结果分析把图3-3输入数字序列仿真波形和图3-7理想低通滤波抽样输出的仿真波形进行对比,可以看出理想低通滤波输出的20个码元中出现了6个错误码元,再把图3-3输入数字序列仿真波形和图3-8匹配滤波抽样输出的仿真波形进行对比,可以看出匹配滤波输出的20个码元中出现了没有错误码元,这说明最佳接收机的误码率比实际接收机的误码率大大减少了,和理论分析是相符合,说明此设计方案是可行且具有实际意义的。 第4章 最佳接收机的VHDL实现现代

19、通信技术的发展随着VHDL等设计语言的出现和ASIC的应用也进入了一个新的阶段,VHDL硬件描述语言作为一个规范语言和建模语言,其对系统行为或流程的描述就是一个建模过程,采用在普通的数字通信系统模型的基础上建立能满足VHDL设计的可实现性模型的设计方法,可以加速数字通信系统设计的效率,降低设计成本,所以这种方法在数字通信系统中得到广泛的应用,本章节主要内容为最佳接收机系统的VHDL实现过程。4.1 设计思路本课程设计要求实现二进制数字序列的匹配滤波器,由前面的理论分析可知,匹配滤波器的匹配信号与接收信号的值相同时,在抽样判决时刻输出信号的模最大,而接收信号与匹配信号不同时则被尽可能的抑制掉,所

20、以实现匹配滤波器也可以转换成两个数字信号之间的相关运算,即比较等长度的两个数字序列之间有多少位相同,多少位不同,然后设置判决门限,通过相同位数的个数来判断信号的值。本课程设计以QPSK信号为输入信号,用VHDL语言实现QPSK的基于匹配滤波器的最佳接收机,QPSK信号有四种值“00”“01”“10”“11”,其分别对应的载波图形编码和波形编号如表4-1所示:表4-1 QPSK信号与其载波图形列表输入信号载波波形编码波形编号0011000011001110001121101103 对符号过采样率为4,设定判决门限为3。由以上分析,可以知道整个最佳接收机系统分为四个模块:(1)信号发生电路:此模块

21、的功能是产生二进制数字序列,并加入加性高斯白噪声作为匹配滤波器的接收信号;(2)定时电路:每经过4个系统时钟信号上升沿定系统的判决电路输出判决结果,然后从零重新开始计数;(3)匹配滤波电路:完成接收信号与四个匹配信号之间的相关运算,并分别累计接收信号与四个匹配信号之间相同的位数,作为结果输出;(4)判决电路:根据匹配滤波器的输出结果和判决门限判断接收信号,将判决结果作为输出端的输出信号; 根据上述内容,可以得到系统的设计流程图如图4-1所示:信号发生电路匹配滤波电路定时电路判决电路输出图4-1 匹配滤波器设计流程图4.2 实现过程4.2.1 信号发生电路的实现由于本课程设计所讨论的是在高斯白噪

22、声条件下最佳接收机的误码性能,系统要求有高斯白噪声的产生,可以采用伪随机序列产生高斯白噪声,其代码见附录,得到的仿真波形如图4-2所示:图4-2 白噪声产生器VHDL实现仿真波形图从图4-2可以看出,在使能信号为高电平的情况下,输出端会输出一个随机的数字序列,在系统中作为白噪声信号。因为是加性高斯白噪声,所以可直接将二进制信号序列与高斯白噪声进行模2加,所得到的结果作为输入信号发送给匹配滤波器,其代码见附录,仿真波形如图4-3所示:图4-3 输入信号仿真波形图从图4-3输入信号仿真波形图中可以看出,当输入信号加入加性高斯白噪声时会产生波形的失真,而最佳接收机需要尽可能的减小波形失真带了的误判。

23、4.2.2 定时电路的实现此电路的功能是将系统时钟进行处理,可以采用计数器的方式实现,每当系统时钟上升沿时刻,计数器加1,当计数器从0加到3时,则系统判决电路工作,并且计数器清零,重新开始计数,其代码如下:if clkevent and clk=1 then 系统时钟为上升沿 if start=0 then cnt=00;cnt1=0;cnt2=0;cnt3=0;cnt4=0;tmp-第一个状态 when 01 =-第二个状态when 10 =-第三个状态when 11 =-第四个状态when others=null; end case; cnt if x_in=1 then cnt1=1;c

24、nt2=1;cnt3=0;cnt4=0;-第一位数据为1时的各相关运算结果 else cnt1=0;cnt2=0;cnt3=1;cnt4 if(x_in=1)then-第二位数据为1时各累加器的结果 cnt1=cnt1+1;cnt2=cnt2;cnt3=cnt3;cnt4=cnt4+1; else -第二位数据为0时各累加器的结果 cnt1=cnt1;cnt2=cnt2+1;cnt3=cnt3+1;cnt4if(x_in=1)then-第三位数据为1时各累加器的结果 cnt1=cnt1;cnt2=cnt2;cnt3=cnt3+1;cnt4=cnt4+1; else -第三位数据为0时各累加器的

25、结果 cnt1=cnt1+1; cnt2=cnt2+1;cnt3=cnt3; cnt4 if(x_in=1)then-第四位数据为1时各累加器的结果 cnt1=cnt1;cnt2=cnt2+1;cnt3=cnt3+1;cnt4=cnt4; else -第四位数据为0时各累加器的结果 cnt1=cnt1+1;cnt2=cnt2;cnt3=cnt3;cnt42) then tmp2) then tmp2) then tmp=2; -当cnt3的值大于2时,判决结果为2 else tmp=3; end if; -当cnt4的值大于2时,判决结果为34.3 仿真结果图4-5 最佳接收机VHDL实现仿真

26、波形图在图4-5中,信号端口indata为输入的二进制序列,相当于通信系统中发送端的原始信号,信号端口xt为加入加性高斯白噪声的输入信号,相当于通信系统中接收端接收到的信号,信号端口outdata为最佳接收机的输出信号(最佳接收机的输出信号outdata滞后于输入信号indata 4个系统周期,这是因为最佳接收机是在输入信号的结束时刻进行取样判决),可以从图中看出由于噪声的干扰,信号xt与原始信号有一定的差别,但是通过最佳接收机后与受噪声干扰后的输入信号xt对比,误码率已经大大减少。4.4 仿真结果分析我们将输入的原二进制数字序列与最佳接收机的输出结果作对比,分析最佳接收机系统的误码性能。从图

27、4-5可知,原输入二进制数字序列indata为“1100 0110 0110 0110 0011 1010” ,根据表4-1可知,此数字序列对应的波形编号为“0 3 3 3 2 1”,与最佳接收机的输出结果相同,说明最佳接收机在有噪声干扰的情况下能有效的降低误码率,此设计方案得到的结论和理论分析相符合,说明这个设计是可行的。 第5章 结束语本课程设计的主要内容为由匹配滤波器构成的最佳接收机的设计与实现,主要采用了数字通信系统的建模方法,首先分析匹配滤波器的原理和结构,对最佳接收机系统的工作原理和流程要有透彻的理解,在这个过程中,要从信号,系统,模块三个方面来研究整个模型:信号输出端和输入端的信

28、号形式及其描述;系统接收到的信号到输出信号之间组成整个系统的各模块功能及相互之间的联系;模块实现各种功能的具体模块;在对这些内容进行详细的了解后,理清思路,明确课程设计要求,建立一个系统模型,然后确定设计方案的过程中必须要有系统的设计思维,把每一个细节都放到整个系统中考虑,考虑整个系统设计的可行性、完整性、稳定性和功能的实现,这样才不会局限在细节上,才能快速的完成性能优越的设计,但是同时也要把握细节,系统也是由细节构成的,在把握整个系统思维的基础上把握每一个细节,因为每一个细节都有可能决定整个系统的性能。经过模块分化之后再分别用工具软件实现各个模块功能,要综合考虑模块与模块之间的联系,尤其是在

29、用VHDL语言描述电路功能时,要符合它的设计条件。确定好设计方案后,要用工具软件进行仿真,仔细分析仿真结果,检查程序代码是否有误,直到得到一个合理的设计结果。最终完成了基于匹配滤波器的最佳接收的MATLAB仿真和VHDL建模,且对生成的功能模块进行仿真获得的结果与基本理论符合,仿真获得成功。整个课程设计过程中,我了解到完成一个设计的完整过程,从理论知识到模型再到模型的实现是我们应该深入掌握的设计方法,这在我日后的学习中是值得借鉴的。完成经过整个课程设计的任务,在此,我想表达一下我的感激之情。首先,感谢成都学院教务处制定了课程设计的重要实践教学环节,课程设计是学生在学习课程之后全面素质教育的重要

30、实践训练,其目的是为了培养学生科学的思维方式和正确的设计思想,综合运用所学理论、知识和技能分析和解决实际问题的能力,通过这次课程设计,我觉得自己无论在理论知识方面还是综合实践能力方面都得到了很大的提高。其次,向我们的授课老师杨洪军致以诚挚的谢意,杨老师学识渊博、治学严谨、平易近人,在本次课程设计中,遇到很多我不懂的问题,杨老师总是耐心的给我讲解,还做了相关的拓展和思维延伸,对整个课程设计的完成有很大的帮助,让我领会到更高层次的看待问题的方式,开拓了视野,领会了基本的思考方式,掌握了通用的研究方法。最后衷心的感谢我的同学对我的帮助,我们一起学习,一起讨论,互相帮助,共同进步,他们给予了我很多无私

31、的帮助和支持。愿所有的老师、同学们合家欢乐,一生平安! 参考文献1 常华 袁钢 常敏嘉.仿真软件教程Multisim和MATLABM.北京:清华大学,2006.112 樊昌信.通信原理M.北京:国防工业出版社 2009.1 3 黄智伟.FPGA系统设计与实践M.北京:电子工业出版社,2005.14 杨晓惠 杨旭.FPGA系统设计与实例M.北京:人民邮电出版社,2010.15 元红妍 张鑫.电子综合设计实验教程M.山东:山东大学出版社,2005.116 杨晓慧 许红梅 杨会玲.电子技术EDA实践教程M.北京:国防工业出版社,2005.87 杨恒 李爱国 王辉 王新安.FPGA/CPLD最新实用技

32、术指南M.北京:清华大学出版社,2005.18 潘松 黄继业.EDA技术实用教程M.北京:科学出版社,2005.19 严晓华.现代通信技术基础(第2版)M.北京:清华大学出版社,2010.810 黄葆华 杨晓静 牟华坤.通信原理M.西安:西安电子科技大学出版社,2007.211 王旭东.MATLAB及其在FPGA中的应用(第二版)M.北京:国防工业出版社,2008.412 段吉海 黄智伟.基于CPLD/FPGA的数字通信系统建模与设计M.北京:电子工业出版社,2004.813 田耘.无线通信FPGA设计M.北京:电子工业出版社,2008.2 附录一 最佳接收机MATLAB代码 % 产生高斯白噪

33、声(normal)nt=randn(1,1000);figure(1)subplot(321)plot(nt),title(白噪声);xlabel(n);ylabel(x(n); %s(t)Ts = 1;%每个码元时间长度N_sample = 10;%每个码元内的抽样点数N = 100;%码元数dt = Ts/N_sample;t = 0:dt:N_sample*N*dt-dt;gt = ones(1,N_sample);%码型,此为不归零码型da = sign(randn(1,N);%产生随即序列n = 0:N-1;st = sigexpand(da,N_sample);%从随机序列到基带信

34、号st = st(1:length(st)-N_sample+1);st = conv(st,gt);%二进制数字基带信号subplot(322)plot(t,st(1:length(t);axis(0 20 -1.5 1.5);ylabel(输入输入双极性NRZ波形);subplot(322)stem(n,da);axis(0 20 -1.5 1.5);ylabel(输入数字序列); %x(t)xt=nt+st;subplot(323)plot(t, xt), title(带噪声信号);xlabel(t(s);ylabel(x(t); %y(t)h1t = gt;%匹配滤波器中冲激响应抽样h

35、2t = 5*sinc(5*(t-5)/Ts);% 理性低通滤波器中的冲激响应r1t = conv(xt,h1t);%匹配滤波器的输出subplot(324)plot(t,0 r1t(1:length(t)-1);axis(0 21 -1.5 1.5);ylabel(匹配滤波器输出);subplot(326)re1da= r1t(N_sample:N_sample:end);stem(n,re1da(1:N);axis(0 20 -1.5 1.5);ylabel(匹配滤波器的抽样输出); subplot(325)plot(t-5,0 r2t(1:length(t)-1);axis(0 20 -

36、1.5 1.5);ylabel(理想低通滤波输出 );re2da= r2t(N_sample/2:N_sample:end);figure,stem(n-5,re2da(1:N);axis(0 20 -1.5 1.5);ylabel(理想低通滤波抽样输出 );functionout=sigexpand(d,M);N=length(d);out=zeros(M,N);out(1,:)=d;out=reshape(out,1,M*N);附录二 最佳接收机VHDL代码输入信号电路代码:library ieee;use ieee.std_logic_1164.all;entity ps7 is-实体名

37、port(clk,load:in std_logic; st:in std_logic; Q:out std_logic);end ps7;architecture behav of ps7 issignal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;beginprocess(clk,load)variable Q1:std_logic;beginif clkevent and clk=1 thenif(load=0) thenc7=0;c6=0;c5=0;c4=0;c3=0;c2=0;c1=1;c0=0;Q1:=c7;else c1=c0;c2=c1;c3=c2;c

38、4=c3;c5=c4;c6=c5;c7=c6;c0=c7 xor c4 xor c3 xor c2;-设置反馈方式Q1:=c7;end if;end if;Q=Q1 XOR st;end process;end behav;匹配滤波器代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity lvbo1 is port(x_in:in std_logic; clk,start:in std_logic; y_out:out inte

39、ger range 0 to 3);end lvbo1;architecture behav of lvbo1 issignal cnt1,cnt2,cnt3,cnt4,tmp:integer range 0 to 4;signal cnt:std_logic_vector(1 downto 0);beginu1:process(clk,x_in,start) begin if clkevent and clk=1 then if start=0 then cnt=00;cnt1=0;cnt2=0;cnt3=0;cnt4=0;tmp if(cnt12) then tmp2) then tmp2) then tmp=2; else tmp=3; end if; if x_in=1 then cnt1=1;cnt2=1;cnt3=0;cnt4=0; else cnt1=0;cnt2=0;cnt3=1;cnt4 if(x_in=1)then cnt1=cnt1+1;cnt2=cnt2;cnt3=cnt3;cnt4=cnt4+1; else cnt1=cnt1;cnt2=cnt2+1;cnt3=cnt3+1;cnt4=cnt4;end if; when 10 =

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com