实验四、-移位寄存器和计数器的设计--电子版实验报告(共3页).doc

上传人:飞****2 文档编号:13523043 上传时间:2022-04-29 格式:DOC 页数:3 大小:163KB
返回 下载 相关 举报
实验四、-移位寄存器和计数器的设计--电子版实验报告(共3页).doc_第1页
第1页 / 共3页
实验四、-移位寄存器和计数器的设计--电子版实验报告(共3页).doc_第2页
第2页 / 共3页
点击查看更多>>
资源描述

《实验四、-移位寄存器和计数器的设计--电子版实验报告(共3页).doc》由会员分享,可在线阅读,更多相关《实验四、-移位寄存器和计数器的设计--电子版实验报告(共3页).doc(3页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、精选优质文档-倾情为你奉上实验四:移位寄存器和计数器的设计实 验 室: 实验台号: 日 期: 专业班级: 姓 名: 学 号: 一、 实验目的1. 了解移位寄存器的工作过程。2. 掌握任意进制计数器的设计方法。二、 实验内容(一)用D触发器设计左移移位寄存器(二)利用74LS161和74LS00设计实现任意进制的计数器设计要求: 以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)。三、 实验原理图1. 由D触发器设计4位左移移位寄存器。(输入二进制:) 2. 测试74LS161的功能输入端输出Qn时钟清零置数PTX0XXX清零10XX置数1111计数X110X不计数X11X0不计数3

2、.熟悉用74LS161设计十进制计数器的方法。 利用置位端实现十进制计数器。 利用复位端实现十进制计数器。四、 实验结果及数据处理1. 左移寄存器实验数据记录表 要求:输入二进制:移位脉冲的次数移位寄存器状态Q4 Q3Q2Q10000010001200113011141111511106110071000800002. 画出你所设计的任意进制计数器的线路图(计数器从零开始计数),并简述设计思路。 答:在Q0和Q2之间接入一个与非门,使Q3Q2Q1Q0=0101时,计数器复位到0000。1五、思考题1. 74LS161是同步还是异步,加法还是减法计数器?答:同步加法计数器。2. 设计十进制计数器时将如何去掉后6个计数状态的?答:在电路中加入一个与非门,当计数到第一个无效状态时,用这个与非门来检测这一状态,令其输出作为复位信号RD,强制所有的触发器置0。即当Q3Q2Q1Q0=1010时,这个与非门的输入Q3Q1全为1,则输入为0。用此低电平作为RD,使计数器复位到0000。3. 谈谈电子实验的心得体会,希望同学们提出宝贵意见。专心-专注-专业

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 教案示例

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com