2022年EDA课程方案设计书病床呼叫系统.docx

上传人:Che****ry 文档编号:12786527 上传时间:2022-04-26 格式:DOCX 页数:9 大小:55.74KB
返回 下载 相关 举报
2022年EDA课程方案设计书病床呼叫系统.docx_第1页
第1页 / 共9页
2022年EDA课程方案设计书病床呼叫系统.docx_第2页
第2页 / 共9页
点击查看更多>>
资源描述

《2022年EDA课程方案设计书病床呼叫系统.docx》由会员分享,可在线阅读,更多相关《2022年EDA课程方案设计书病床呼叫系统.docx(9页珍藏版)》请在得力文库 - 分享文档赚钱的网站上搜索。

1、精品学习资源封面欢迎下载精品学习资源作者: PanHongliang仅供个人学习第一章摘要医院能够最好的为病人服务,是很重要的,但是由于医院工作人员有限,而且护士不能总是在每一位病人身边,所以病房床位呼叫器对于一个医院来讲特殊重要,它能够使病人在危急时刻准时的联系上医生或者护士,从而得到准时的救治或看护;所以拥有一套功能完善的病床呼叫系统,对于一个大型的医院来讲,至关重要;本设计的整个呼叫系统由把握、显示、报警三部分组成,使得病人可以准时的通知医欢迎下载精品学习资源生,并且医生也能很快的知道那个床位的病人在求助,本系统仍兼具优先呼叫的功能,把病情严肃的病人支配在优先级较高的病床,就当优先级较高

2、的病床呼叫时可以屏蔽其他病床的呼叫,从而使得病情严肃的病人得到优先医治;其次章 引 言本设计的病床呼叫系统,当病人摁下呼叫按钮时,如第1 号病人呼叫时电子数码管显示1 号,同时对应床位的LED 灯亮,蜂鸣器发出响声,三秒钟之后,蜂鸣器停止;但是LED灯和数码管仍然显示,直到医生或护士去到病房解除报警;由于蜂鸣器声音比较刺耳,所以只让蜂鸣器响三秒,三秒过后自动停止,到下一次警报的时候再响;这样可以保证医院安静的工作环境,使病人能够更好的休息治疗;本系统的优先呼叫功能,当优先级较高的病床呼叫时,可以屏蔽其他病床的呼叫,如一号病床的优先级最高,当一号和二号病床同时呼叫时,数码管只显示1 号,系统自动

3、屏蔽了二号的呼叫,只有当1 号解除警报时具有下一优先级的病床才能呼叫,这样只要把病情严肃的病人放在优先级较高的病床,就可以保证病人优先得到治疗,而且是医生有目的的治疗,分清主次;第三章课程设计内容及详细介绍3.1 课程设计的目的本次课程设计要求设计一个病床呼叫系统, 通过综合的运用所学过的理论学问,系统的进行电子电路的工程实践训练,从设计要求功能分析、电子器件选择、电子器件连接(组合规律电路设计,以准时序规律电路设计)、功能实现的过程培养我们的分析问题和解决问题的才能;3.2 课程设计内容及设计思路技术参数:用一个数码管显示呼叫信号的号码没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼

4、叫号用 5 个拨码开关设置功能键,1 号优先级最高, 1-5 号优先级依次降低用 LDE灯指示对应的病房有呼叫,有呼叫时蜂鸣3 秒;设计要求: 用静态数码管显示呼叫的病房号 用蜂鸣器发出蜂鸣设计思路:依据试验要求,本次设计需要响应病床呼叫时,数码管显示相应数字,而且输入信号有 优先级,故需要74HC148 的优先级编码器需要数码管显示,故其输出端需要和静态显示数码管相连,设计要求对应病床的LED灯亮,故输出再经过3 线-8 线译码器 74HC138输出对应的 5 个信号分别于 LED 灯相连;试验要求蜂鸣器响三秒钟终止,故需要一个计数器来限制新其响的时间;详细设计见病床呼叫系统功能模块介绍3.

5、3 病床呼叫系统模块介绍及原理图本病床呼叫系统的功能模块分为床位呼叫把握转换模块,蜂鸣器呼叫时间把握模块,系统显示模块,蜂鸣器模块四部分,通过各个模块的和谐作用,使得病床呼叫系统功能得以详细实现;3.3.1 床位呼叫把握转换模块及原理图床位呼叫把握模块由74HC148、反相器、与门构成;74HC148芯片介绍:8 线-3线优先编码器74HC148,答应同时输入两个以上的编码信号,不过在设计优先编码器时已经将全部的输入信号按优先次序排了队,当几个输入信号同时显现时,只对优先权最高的一个进行编码;欢迎下载精品学习资源74HC148器件图及其功能表如下:图 3-1输入输出EIN0N1N 2N 3N

6、4N5N 6N7NA0NA1NA2NEONGSN1XXXXXX111110XX11101011111111000100XXXXXXX0011000010100XXXXXX00111001100100XXXXX010110011110100XXXX011111101XXX01111XX011111X011111101111111图 3-2正常工作时 EIN=0,其中 7N 0N 的优先级依次降低,输出分别对应0 7,本次设计要求 15 号病床输入信号时分别显示1 5,其中 1 号优先级最高,故1 5 号病床输入分别对应 6N 2N;又由于 74HC148 输入低电平有效,故信号输入端口叫反相器和

7、6N 2N 相连;编码器正常工作,无信号输入时,EON=0,有信号输入时 EON=1输出端口 A0N,A1N,A2N分别与 EON相与后作为编码器的输出信号;这样便可以实现当有病床呼叫(高电平)时,通过反相器,优先编码器编译成相应的号码;当无信号输入时,EON=0,输出信号为 000;再从显示模块中显示出;床位呼叫把握模块电路原理图如下图 3-33.3.2 蜂鸣器呼叫时间把握模块及原理图本课程设计要求,在有床位呼叫时,蜂鸣器开头响,三秒钟终止,本设计利用74161 十六进制计数器把握时间;74161 芯片介绍:74161 为同步十六进制计数器,通过时钟信号触发从零开头计数,计到十五,进位端输出

8、高电平同时状态回到零重新计数;芯片有异步置零端,和异步置位,可以接成小于十六进制的任意进制计数器,其芯片图和真值表如下:图 3-4图 3-5欢迎下载精品学习资源计数器在频率很低时由于触发器延时等缘由导致计时不精确,故本次设计用256HZ的时钟频率,依据运算: 256*3=768=16*16*3 ,所以用三个 74161 接成 758 进制计数器,进位端取反与时钟信号相与,接到74161 的时钟信号输入端口;当有进位时,即进位输出为1时,取反即为0,与时钟信号相与后仍然是0;由于没有时钟信号的输入,74161 停止工作,即停止计数;从开头计数到停止计数时间为三秒钟;病床把握模块中的三个输出端口,

9、取或,输出为 Y,既与每个 74161 的 CLRN异步置零端 相连,又和 74161 进位取反后的输出相与后接蜂鸣器;没有病床呼叫时, Y=0,此时蜂鸣器不响,每个 74161 的初态均为零,进位也为零,取反为 1 当有病床呼叫时, Y=1 与进位取反相与为 1,即蜂鸣器开头响;计数器开头工作,三秒钟之后,进位端输出 1,取反为0,与时钟信号相与为 0,计数器停止工作,同时,蜂鸣器停止蜂鸣;直到下一次病床呼叫再开头工;蜂鸣器呼叫时间把握模块电路图如下:图 3-63.3.3 系统显示模块及原理图系统显示模块包括LED灯显示模块和数码管显示模块两部分; LED灯显示模块LED灯显示模块电路图如下

10、图 3-7当有病床呼叫时,通过病床呼叫把握模块输出相应的二进制病床号001 101,通过74138 3 线 8 线译码器译出 Y1 Y5 相应的高低电平,把握LED 灯的亮灭; Y1 Y5 中某个低电平常对应 LED1 5 中某个灯亮;74HC138芯片介绍:74HC138 为 3 线-8线译码器,将输入的一组二进制代码转换为一组与输入代码一一对应的高低电平信号74HC138及其功能表如下:输入输出G1G2AN+G2BNC B AY0N Y1N Y2N Y3N Y4N Y5N Y6N Y7N图 3-8图 3-90XXXX11111111X1XXX111111111000001111111100

11、0110111111100101101111110011111011111010011110111101011111101110110111111011011111111110欢迎下载精品学习资源数码管显示模块图 3-10 74HC138真值表欢迎下载精品学习资源病床呼叫把握模块的输出端,如图3-7 通过管脚锁定,与试验箱数码管相连接,显示呼叫的病床号码;3.3.4 蜂鸣器模块蜂鸣器模块含有一个蜂鸣器BUZZER和一个线跳器JBUZZER当使用蜂鸣时,此跳线短 接;同时独立扩展下载板CPLD/FPGA的 JP2/CF 的 SPEAKER接高电平常,蜂鸣器工作; 将系统输出端口S 经管脚锁定到“

12、 CPLD/FPGA扩展板”的 PIN38 号管脚如图 3-18所示;输出端 S 高电平常蜂鸣器工作;综合各个模块,系统原理图连接如下:图 3-11 系统总原理图经过如以下图的连接,整个病床呼叫系统,便可以详细实现从病床呼叫到显示到蜂鸣三秒的功能;3.4 、仿真波形图当 I1 5 依次显现高电平常即病床1 5 依次呼叫时,系统电路仿真图如下: 图 3-12 输入输出仿真图图 3-13 输入输出仿真波形图2将 A、B、C、 D波形合并成一组,形成W波形,反应数码管所显示的数字如图3 13.如图 3-13 所示, 从第一秒开头,病床1 5 依次呼叫,数码管依次显示每次呼叫的病床号码,每次呼叫对应L

13、ED灯显现高电平,即灯亮,同时蜂鸣器蜂鸣三秒终止;当有两个病床同时呼叫时,优先显示优先级高的病床;如图314, 3-15所示:图 3 14 ABCD波合成后图、图 3 15A、 B、C、D 波未合成时图当 I2 和 I3 同时显现高电平常,即病床 2 和病床 3 同时呼叫时,由于病床 2 的优先级比病床三的优先级高,所以数码管只显示 2 号病床的呼叫, LED灯也只有 2 号病床对应的灯亮,同时蜂鸣器蜂鸣三秒;3.5 管脚锁定及器件连接连接好的原理图的输入与输出端口必需经过管脚锁定与“CPLD/FPGA扩展板”相连接, 本届详细介绍拨那个床呼叫系统的管脚锁定3.5.1 输入端口管脚锁定输入端口

14、 I1 15 管脚锁定欢迎下载精品学习资源图 3-16 输入端口 I1 15 管脚锁定输入端口 I1 15 即 8 位数字开关组( A) SW1 SW,5分别对应“ CPLD/FPGA扩展板”的欢迎下载精品学习资源PIN39、40、 41、44、45 管脚,开关拨至 ON为规律高电平“ 1”,开关拨至OFF为规律“0”电平 时钟信号输入管脚锁定图 3-17 时钟信号输入管脚锁定时钟信号输入对应“ CPLD/FPGA扩展板” 83 号,即用导线将试验箱中CLK 13 与 PIN83 管脚相连;3.5.1输出端口管脚锁定数码管输出信号管脚锁定欢迎下载精品学习资源图 3-18 数码管输出信号管脚锁定

15、输出端口A、B、C、D 数码管显示端口1D0 1D3,分别对应“ CPLD/FPGA扩展板”的PIN127,128,131,132;输出端口 smg即控位 IO_DS1, 对应“ CPLD/FPGA扩展板”的 PIN94 管脚; LED灯输出信号管脚锁定图 3-19LED 灯输出信号管脚锁定LED1 5 分别对应“ CPLD/FPGA扩展板”的 PIN12,13,14,15,17管脚; 蜂鸣器输出端口管脚锁定图 3-20 蜂鸣器输出端口管脚锁定蜂鸣器输出端口 s 即 SPEAKER, 对应“ CPLD/FPGA扩展板”的 PIN38 号管脚;结论通过电路设计,电路波形仿真,管脚锁定,芯片连接等

16、过程,实现了课程设计的要求;当有病床呼叫时,对应病床的LED 灯点亮,数码管显示对应的病床号,同时蜂鸣器蜂鸣三秒钟终止;基本完成了课程设计的功能要求;心得体会经过一周的 EDA课程设计,从学习Max Plus II软件,抽选题目,分析题目要求,分析详细要实现的功能,选择相应的电子器件,应用Max Plus II软件画电路图连接电路, 检测电路,电路仿真,通过仿真波形检测电路是否能详细实现课程设计所要求的功能,使得从课本上学过的学问在实际中得以运用;经过反复修改电路图,使得系统功能得以详细实现的过程,培养了自己组合规律电路设计,时序规律电路设计的才能;反复的更换电子器件,使自己对数字电子技术中各

17、种器件的功能明白更加深切,对以后进行同样的设计奠定了牢固的基础;通过器件的选择,连 接,对中间过程显现的问题进行分析,检查、更换电子器件或电路图最终使得仿真波形与想要实现的功能一一对应培养了我们分析问题、解决问题的才能;然后进行下载,从试验箱中详细实现课程设计所要求的功能培养了自己的动手才能;本课程设计为病床呼叫系统,通过自行设计并成功实现设计要求详细功能实现的过程, 对医院病床呼叫系统有了系统的明白,使得我们学过的学问同现实社会中的东西联系起 来,对以后工作会有很大帮忙;在这一周的课程设计中,通过指导老师和同学们的鼎力帮忙,才能使这次课程设计顺当的完成,由衷的感谢我的指导老师和同学们;参考文

18、献1. 阎 石数字电子技术基础高等训练出版社 2006 年 5 月2. 周莲莲郑兆兆李艳艳EDA课程设计 B 指导书 2021年版权申明本文部分内容,包括文字、图片、以及设计等在网上搜集整理;版权为潘宏亮个人全部This article includes some parts, including text,欢迎下载精品学习资源pictures, and design. Copyright is Pan Hongliangs personal ownership.用户可将本文的内容或服务用于个人学习、争论或观看,以及其他非商业性或非盈利性用途,但同时应遵守著作权法及其他相关法律的规定,不得侵害

19、本网站及相关权益人的合法权益;除此以 外,将本文任何内容或服务用于其他用途时,须征得本人及相关权益人的书面许可,并支付酬劳;Users may use the contents or services of this article for personal study, research or appreciation, and other non-commercial or non-profit purposes, but at the same time, they shall abide by the provisions of copyright law and other rele

20、vant laws, and shall not infringe upon the legitimate rights of this website and its relevant obligees. In addition, when any content or service of this article is used for other purposes, written permission and remuneration shall be obtained from the person concerned and the relevant obligee.转载或引用本

21、文内容必需是以新闻性或资料性公共免费信息为使用目的的合理、善意引用,不得对本文内容原意进行曲解、修 改,并自负版权等法律责任;Reproduction or quotation of the content of this欢迎下载精品学习资源article must be reasonable and good-faith citation for theuse of news or informative public free information. Itshall not misinterpret or modify the original intention ofthe content of this article, and shall bear legal liabilitysuch as copyright.欢迎下载

展开阅读全文
相关资源
相关搜索

当前位置:首页 > 教育专区 > 高考资料

本站为文档C TO C交易模式,本站只提供存储空间、用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。本站仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知得利文库网,我们立即给予删除!客服QQ:136780468 微信:18945177775 电话:18904686070

工信部备案号:黑ICP备15003705号-8 |  经营许可证:黑B2-20190332号 |   黑公网安备:91230400333293403D

© 2020-2023 www.deliwenku.com 得利文库. All Rights Reserved 黑龙江转换宝科技有限公司 

黑龙江省互联网违法和不良信息举报
举报电话:0468-3380021 邮箱:hgswwxb@163.com